ZKX's LAB

用VHDL设计数字时钟步骤 怎样用VHDL语言设计数字钟?

2020-08-11知识11

EDA中的数字时钟用VHDL语言怎么做 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity dongtai isport(clk,rest:in std_logic;seg,led:out std_logic_vector(7 downto 0));end dongtai;architecture action of dongtai issignal counter,counter2,counter3,counter4,counter5,counter7,counter8,counter9:integer:=0;signal counter1:std_logic_vector(7 downto 0);beginclock:processvariable tmp,tmp1,count,count2,count3,count4,count5,count7,count8,count9:integer:=0;beginwait until clk='1';tmp:=tmp+1;tmp1:=tmp1+1;if(tmp1=50000000)thencount2:=count2+1;counter2;tmp1:=0;111if(count2=10)thencount2:=0;count3:=count3+1;counter3;222if(count3=6)thencount3:=0;count4:=count4+1;counter4;444if(count4=10)thencount4:=0;count5:=count5+1;counter5;555if(count5=6)thencount5:=0;count7:=count7+1;counter7;777if(count7=10)thencount7:=0;count8:=count8+1;counter8;8888if(count8>;3)thencount8:=0;end if;end if;end if;end if;end if;end if;end if;if(count8=2 and count7=3 and count5=5 and 。课程设计任务书 课程设计名称 EDA课程设计 学生姓名 专业班级 设计题目 多功能数字钟设计 一、课程设计目的 1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力;2、结合理论知识,考察阅读参考资料、文献、手VHDL数字时钟完整程序代码(要求要有元件例化,并怎样用VHDL语言设计数字钟? 是设置初始时钟吗,有一个CLK的引脚可以用.用vhdl设计数字时钟系统 几乎所有的数字电路的实验书都有相同的例子。用VHDL语言编写一数字时钟 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity digital isport(Clk:in std_logic;时钟输入Rst:in std_logic;复位输入S1,S2:in std_logic;时间调节输入led:out std_logic_vector(3 downto 0);整点输报时输出spk:out std_logic;Display:out std_logic_vector(6 downto 0);七段码管显示输出SEG_SEL:buffer std_logic_vector(2 downto 0)-七段码管扫描驱动end digital;architecture behave of digital issignal Disp_Temp:integer range 0 to 15;signal Disp_Decode:std_logic_vector(6 downto 0);signal SEC1,SEC10:integer range 0 to 9;signal MIN1,MIN10:integer range 0 to 9;signal HOUR1,HOUR10:integer range 0 to 9;signal Clk1kHz:std_logic;数码管扫描时钟signal Clk1Hz:std_logic;时钟计时时钟signal led_count:std_logic_vector(2 downto 0);signal led_display:std_logic_vector(3 downto 0);signal spkcout:std_logic;beginPROCESS(clk)-产生1hz信号variable cnt:INTEGER RANGE 0 TO 49999999;产生1Hz时钟的分频计数器BEGINIF clk='1' AND clk'event THENIF cnt=。FPGA用VHDL语言写个数字时钟,为什么调时间的按键要个键扫描模块?因为物理按键按下后会产生一个上下抖动的波形,之后才会稳定到高或者低,一般有几十个ms,如果不加按键。VHDL数字时钟完整程序代码(要求要有元件例化,并且有按键消抖),谢谢啦啦啦啦 课程设计任务书课程设计名称 EDA课程设计 学生姓名 专业班级设计题目 多功能数字钟设计一、课程设计目的1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力;2、结合理论知识,考察阅读参考资料、文献、手册的能力;3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;4、锻炼撰写研究报告、研究论文的能力;5、通过本实践环节,培养科学和严谨的工作作风。二、设计内容、技术条件和要求l、能进行正常的时、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。2、能利用实验系统上的按钮实现“校时”、“校分”功能;(1)按下“SA”键时,计时器迅速递增,并按24小时循环;(2)按下“SB”键时,计时器迅速递增,并按59分钟循环,并向“时”进位;(3)按下“SC”键时,秒清零;抖动的,必须对其消抖处理。3、能利用扬声器做整点报时:(1)当计时到达59’50”时开始报时,频率可为500Hz;计满23小时后回零;计满59分钟后回零。(2)到达59’59”时为最后一声整点报时,整点报时的频率可定为lKHz。4定时闹钟功能5、用层次化设计方法设计该电路,用硬件描述语言。高分求 基于VHDL语言设计的数字时钟 -程序(.vhd文件)如下-library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;Uncomment the following library declaration if instantiatingany Xilinx primitives in this code.library UNISIM;use UNISIM.VComponents.all;entity clock isportclk:in std_logic;rst:in std_logic;inc_min:in std_logic;sub_min:in std_logic;inc_hour:in std_logic;sub_hour:in std_logic;sel:out std_logic_vector(3 downto 0);q:out std_logic_vector(7 downto 0));end clock;architecture Behavioral of clock issignal sec_counter1:std_logic_vector(3 downto 0);signal sec_counter2:std_logic_vector(3 downto 0);signal min_counter1:std_logic_vector(3 downto 0);signal min_counter2:std_logic_vector(3 downto 0);signal hour_counter1:std_logic_vector(3 downto 0);signal hour_counter2:std_logic_vector(3 downto 0);signal divcounter:std_logic_vector(27 downto 0);signal div_clk:std_logic;signal scancounter:std_logic_vector(10 downto 0);signal scan_clk:std_logic;signal scan_out:std_。

#vhdl#eda#vector#时钟信号#vhdl语言

随机阅读

qrcode
访问手机版