ZKX's LAB

用Quartus实现半加法器 quartus ii时序仿真。8位并行加法器。

2021-04-27知识7

quartus ii时序仿真。8位并行加法器。 原因可能是你看到的波形图间隔太大,按左边的那个放大镜按钮,然后在波形图上不断按鼠标右键进行缩小。应该可以看到波形~如果还是没有,可以继续追问

用quartus2设计一个8-bit加法器和一个双向总线接口 加法器是基于二进制逻辑关系设计的。假设计算的是 a1+a2,和为c[1:0],有下列两种关系:1.a1和a2都为1时,进位c[1]=1,即逻辑与;2.a1和a2只有一个为1时,低位c[0]=1,即逻辑异或;因此加法器的实现方式为 c[1]=a1 and a2,c[0]=a1 xor.

quartus ii时序仿真。8位并行加法器。用VHDL写了8位并行加法器quartusII下仿真功能仿真和时序仿真都能进行仿真图却没变化和没仿真之前时序图样没有任何波动 原因能看波形图。

#用Quartus实现半加法器

随机阅读

qrcode
访问手机版