ZKX's LAB

四层电梯控制器eda EDA课程设计:彩灯控制器

2020-07-25知识9

请问谁有关于“基于FPGA的高层电梯控制器的设计”的中期报告和毕业论文 请帮一下忙啊,急需,谢谢啊 摘 要本文介绍了基于FPGA设计的高层电梯控制器,并进行了电路综合和仿真。该控制器遵循方向优先的原则,提供3个楼层多用户的载客服务并指示电梯的运行情况。EDA技术不是某一学科的分支,或某种新的技能技术,它是一们综合性学科,融合多学科于一体,打破了软件和硬件间的壁垒,使计算机的软件与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。FPGA具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的字自动设计。关键词:FPGA 控制器 EDA 电梯目 录第1章 引言…..11.1设计内容简介….11.2选题背景….2第2章 FPGA、EDA语言分析….32.1 FPGA的基本技术特征….32.2 EDA概况….42.3当今EDA技术综述…..5第3章 电梯控制器的具体设计….83.1简要说明….83.2电梯控制器的任务和要求…83.3电梯控制器的设计…..83.4模块、模块功能及相应程序…123.5仿真结果….20总结….21。EDA课程设计:彩灯控制器 以前做的设计,粘贴时图形没2113出来,参考一5261下,记得给分啊一.设计目的41021、学习EDA开发软件和MAX+plus Ⅱ的1653使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。2、进一步掌握数字电路课程所学的知识。3、了解数字电路设计的一般思路,进一步解决和分析问题。4、培养自己的编程和谨慎的学习态度二、.设计题目内容和要求(1)课题内容:用EDA技术设计一个彩灯控制器,使彩灯(LED管)能连续发出三种以上不同的花型(自拟);随着彩灯显示图案的变化,发出不同的音响声。要求使用7段数码管显示当前显示的花型,如第一种花型显示A1,第二种花型显示b2,第三种花型显示C3(2)主要任务:完成该系统的硬件和软件的设计,并利用实验箱制作出实物演示,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计报告。三、总体方案设计与选择1 总体方案的设计方案一:电路分为三个部分:彩灯花型模块、声音模块,时钟模块。用时钟控制声音和花型,整体使用相同的变量与信号,主体框图如下;图三—1-1方案一的的流程图方案二:电路分为五个模块:分频器模块、16进制计数器、4进制计数器,4选1选择器、彩灯。基于EDA的五层电梯控制系统 程序太长,我不想写了,网上有原版的程序。给你个链接http://wenku.baidu.com/view/8896351bb7360b4c2e3f646d.html求电梯控制的eda程序 这个问题很有意思,关注中.求EDA程序:设计一个彩灯控制器,16个彩灯,每次顺序点亮相邻四个彩灯,循环执行,循环方向可控 彩灯控制器设计前,许2113多户外商业广告5261、公4102益广告、节日彩灯等大多采用循环灯控制形式1653。它们通过巧妙构思与创作,可以做到广告、彩灯等作品色彩鲜艳,富有创意,变化形式丰富,起着宣传和美化环境的作用,营造文明亮丽氛围。本人试图利用廉价的数字集成器件。作者试图利用廉价的数字集成器件完成八路彩灯控制器设计。该控制器由不规则时钟信号发生器、计数器、译码器和开关电路组成。1、不规则时钟信号发生器时钟信号的产生方式很多,本电路设计使用555定时器,555定时器电路是一种双极型中规模集成电路,只要在外部配上几个适当的阻容。元件和适当的电路连接,就可构成多谐振荡器。该器件的电源电压为4.5V-18V,驱动电流也较大,并能提供与ttl,MOS电路相兼容的逻辑电平。本电路中利用两片555定时器构成两个多谐振荡器,产生两个不同频率的时钟信号,把两个时钟信号经二极管或门电路合成成不规则的脉冲信号,作为后续的计数器电路的时钟信号,可以控制彩灯循环变化的速度,使彩灯发光时间各不相同,从而起到变速循环的效果。电路中,f1=0.7(r1=2r2)c1f2=0.7(r3+2r4)c2,通过选择r1,r2,r3,r4,c1,c2的参数,使f1,f2处在lOHZ左右,而且F1与Fz不同,把Fi。EDA的VHDL程序:彩灯控制器,共16个彩灯,每次顺序点亮相邻四个彩灯,如此循环执行,循环方向可控制 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity caideng isport(clk,reset:in std_logic;l_r:in std_logic;控制循环方向;output:out std_logic_vector(15 downto 0));输出end entity;architecture art of caideng issignal q:std_logic_vector(15 downto 0);signal clk_data:std_logic;beginprocess(clk,reset)-时钟分频,分频因子等于系统时钟频率除以所要得到的时钟频率variable cnt:integer;beginif reset='1' thencnt:=0;clk_data;elsif clk'event and clk='1' thenif cnt=4000000 thencnt:=0;clk_data;else clk_data;cnt:=cnt+1;end if;end if;end process;process(clk_data,reset,l_r,q)beginif reset='1' thenq;elsif clk_data'event and clk_data='1' thenif l_r='1' then-表示向右循环;if q=\"0000000000000000\"thenq;else q(0)&q(15 downto 1);end if;else-向左循环;if q=\"0000000000000000\"thenq;else q(14 downto 0)&q(15);end if;end if;end if;output;end process;end art;

#电梯控制系统#软件#电梯广告#eda

随机阅读

qrcode
访问手机版