ZKX's LAB

关于verilog实现的串并转换功能 verilog串并转换实验

2021-03-06知识3

用verilog编写串并转换器的程序,要有详细注识释 reg[7:0]data;reg[2:0]cnt;always@(posedge clk or posedge rst)if(rst)/复位高有效reg;elsereg[7:0],din};din是输入串行数据,假设输入数据高位在前这是一个移位寄存器always@(posedge clk or posedge rst)if(rst)cnt;elseif(din_valid)/输入串行时能有效if(cnt=7)cnt;elsecnt;计数器,用来计算移位次数,移位8次在以后产生一个有效数据elsecnt;always@(posedge clk or posedge rst)if(rst)dout;dout_en;elseif(cnt=7)dout;如果计数器记到7,那么输出一个有效的8位数据dout_en;elsedout;dout_en;

关于verilog实现的串并转换功能 verilog串并转换实验

高手来看看这个verilog串并转换状态机程序的时序仿真 感觉没什么问题 我测试了下 输入串码1111 101你可以用我的tb跑跑看module test_test();reg sys_clk;reg sys_rst;reg data_input_1;wire[2:0]xlat_address_port_1;always#5 sys_clk=~sys_clk;initial beginsys_clk=1'b0;sys_rst=1'b0;data_input_1=1'b0;10 sys_rst=1'b1;5;10 data_input_1=1'b1;10 data_input_1=1'b1;10 data_input_1=1'b1;10 data_input_1=1'b1;10 data_input_1=1'b1;10 data_input_1=1'b0;10 data_input_1=1'b1;10 data_input_1=1'b0;endserial_in serial_insys_clk(sys_clk),sys_rst(sys_rst),data_input_1(data_input_1),xlat_address_port_1(xlat_address_port_1)endmodule

高手来看看这个verilog串并转换状态机程序的时序仿真 感觉没什么问题 有四位前导码,即前四位是连续1时从第五位开始串并转换,共转换3位 功能仿真可以通过,时序仿真无结果,请高手们看看啊 程序如下: module serial_in 。

verilog的串并转换问题:当en=1使能时,clk时钟才开始有效,开始采样计数,为什么我的第一串并转换有问题 第三个clk的时候data=010,到第四个clk上升沿的时候cout=010.波形没有问题。

#verilog串并转换实验

随机阅读

qrcode
访问手机版