ZKX's LAB
  • 关于verilog取余问题 veriog求余数

    关于verilog取余问题 veriog求余数

    verilog 取余运算 如何实现 verilog语言没学过,不过原理应该是差不多的,C中有%运算符取余我们可以手动写一个取余的函数比如int mod(int a,int b){int i;for(i=0;i00加载更多verilog任意整...

    2021-04-06知识3veriog求余数 
qrcode
访问手机版