ZKX's LAB
  • vhdl 键盘输入 求数字密码锁的VHDL语言

    vhdl 键盘输入 求数字密码锁的VHDL语言

    为什么quartus ii 8.1版 vhdl 文件不能输入了 RTL级的:在文件上右键》locate》locate 新建了,然后敲键盘都有字母出来,可是按空格键和enter 键都没反应,谁知道。谢谢了。是新建了工程后,敲键盘都有字母出现,...

    2021-03-23知识10vhdl 键盘输入 
qrcode
访问手机版