ZKX's LAB

计数器的进位输出是什么,有什么用? 任意进制进位输出端Q

2021-04-28知识6

两片74160构成29进制计数器。请问这里的进位输出为什么要这样画?进位端不是C吗?? 由个位到十位的进位输出是左边74160的C。因为右边74160的输出Q0~Q3最大只有0100,进位输出C就没作用,要做到计算29的次数就需要G2进位输出。

数字电路问题 设计十进制计数器 同步置数法,当记到10的时候(1010),用个或门,与非门得到低电平给异步置数端置1从新计数.

芯片74LS161中的进位输出端CO的工作原理是? 74LS161中的进位输出端CO的工作原理是:CO=Q0·Q1·Q2·Q3·CET。从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候。

#任意进制进位输出端Q

qrcode
访问手机版