ZKX's LAB

移位寄存器的置数 用Verilog HDL编程设计8位左右移移位寄存器电路。

2020-08-11知识23

用Verilog HDL编程设计8位左右移移位寄存器电路。 module Verilog1(clk,ldn,k,d,q);input clk,ldn,k;input[7:0]d;output[7:0]q;reg[7:0]d_reg,q_reg;always@(negedge ldn)if。ldn)d_reg;always@(posedge clk)beginif(k)begin/rightq_reg[7:0],d_reg[7:1]};endelse q_reg[7:0][6:0],1'b0};endassign q=q_reg;endmodule跪求用VHDL描述异步复位,同步置数和移位使能8位左移移位寄存器,注意是左移 在线等… 我用verilog可以吗跪求:用VHDL描述异步复位,同步置数和移位使能8位右移移位寄存器。 第一空:clk'event and clk='1'-时钟上升沿触发第二空:reg8(7 downto 1)-右移

#vhdl#数据寄存器#状态寄存器#指令寄存器#触发器

qrcode
访问手机版