ZKX's LAB

急求大佬帮忙,用verilog设计一个跑马灯控制器 verilog流控制器

2020-07-18知识12

中断控制器的verilog实现 module interruptinputclock and resetbus_clk,bus_reset_n,from registerint,int_in_type,intmask,int_clear,outputto registerirq_req,irq_cpu_intinput bus_clk;input bus_reset_n;input int;input[1:0]int_in_type;input intmask;input int_clear;output irq_req;output irq_cpu_int;reg int_d1;reg int_d2;reg int_d3;reg irq_req;reg irq_req_d1;always@(posedge bus_clk or negedge bus_reset_n)beginif!bus_reset_n)beginint_d1;int_d2;int_d3;irq_req_d1;endelsebeginint_d1;int_d2;int_d3;irq_req_d1;endendalways@(posedge bus_clk or negedge bus_reset_n)beginif!bus_reset_n)beginirq_req;endelse if(int_clear)beginirq_req;endelse if((int_in_type=2'b00)|(int_in_type=2'b10))beginirq_req(int_d2&!int_d3))|(irq_req&intmask);endelsebeginirq_req(!int_d2)&int_d3)|(irq_req&intmask);endendwire irq_cpu_int=!irq_req&irq_req_d1;endmodule`define INT_IN_TYPE_ADDR 16'h1000`define INTMASK_ADDR 16'h1004`define SETMASK_ADDR 16'h1008`define UNMASK_ADDR 16'h100cmodule module_reginput跪求一个交通灯控制器的verilog代码 module traffic(clock,reset,sensor1,sensor2,red1,yellow1,green1,red2,yellow2,green2);input clock,reset,sensor1,sensor2;output red1,yellow1,green1,red2,yellow2,green2;Define the statesparameter st0=0,st1=1,st2=2,st3=3,st4=4,st5=5,st6=6,st7=7;reg[2:0]state,nxstate;reg red1,yellow1,green1,red2,yellow2,green2;state updatealways@(posedge clock or posedge reset)beginif(reset)state=st0;elsestate=nxstate;endCalculate the next state and the outputs,always@(state or sensor1 or sensor2)beginred1=1'b0;yellow1=1'b0;green1=1'b0;red2=1'b0;yellow2=1'b0;green2=1'b0;case(state)st0:begingreen1=1'b1;red2=1'b1;if(sensor2=sensor1)nxstate=st1;else if(~e68a847a686964616f31333262363136sensor1&sensor2)nxstate=st2;elsenxstate=st0;endst1:begingreen1=1'b1;red2=1'b1;nxstate=st2;endst2:begingreen1=1'b1;red2=1'b1;nxstate=st3;endst3:beginyellow1=1'b1;red2=1'b1;nxstate=st4;endst4:beginred1=1'b1;green2=1'b1;if(~sensor1&~sensor2)nxstate=st5;else if(sensor1&~sensor2)nxstate=st6;elseVerilog和DE2板子的VGA控制器问题 这个很简单呀,因为你的DE2开发板上的那个VGA的DAC是10位的,即RGB每色都是由10bit来表示,也就是每色都能有1024个等级,也就是这个DAC理论支持2^30种颜色,但是你的程序中RGB只用了三位来表示,也就是每个颜色只用1bit表示,比如红色,1显示红色,0不显示红色,所以总共只显示8种颜色,一般情况下可以直接将你这个程序中的RGB,引脚映射到你开发板上的R[9],G[9],B[9]这三个引脚,当然你映射到其他的引脚也是没关系的,只是颜色的问题,当然也可以将程序中的RGB自己改成30bit的,然后每个引脚都对应,再在程序中自己修改相应的颜色,这里面是RGB[2:0],对应的时候,将RGB[2]对应到开发板的vga_r[9],将RGB[1]对应到开发板的vga_g[9]将RGB[0]对应到开发板的vga_b[9],怎么用Verilog设计一个4位彩灯控制器? module ledcon(clk,rst,led);input clk,rst;output[3:0]led;高电平有效reg[3:0]led_r;led控制寄存器reg[19:0]cnt;计数器always@(posedge clk or negedge rst)if!rst)cnt;else cnt;always@(posedge clk or negedge rst)if!rst)led_r;else if(cnt=20'hfffff)led_r[2:0],led_r[3]};循环左移低三位,那个低电平0位就会跟进移动assign led=led_r;endmodule急求大佬帮忙,用verilog设计一个跑马灯控制器 你好,下面是对应的逻辑:ctrl0控制速度,ctrl1控制方向module walkled_8(led,clk,ctrl);input clk;input[1:0]ctrl;output[7:0]led;reg[7:0]led_out,vv;reg[25:0]buffer;assign changeV=(ctrl[0:0]=1)?26’d50000000:26’d25000000;always@(posedge clk)beginbuffer;if(buffer=changeV)beginif(ctrl[1:1]=0)beginled_out=led_out;vv=8’h1;endelse beginled_out=led_out>>1;vv=8’h80;if(led_out=8'b00000000)led_out=vv;endbuffer=1;endassign led=led_out;endmodule急求verilog设计八路彩灯控制器程序 /modelsim testbench有疑问,可联系我,百度hi不方便,可以Qmodule led_tb(clk,n_reset,led);output clk;output n_reset;output[7:0]led;reg clk;reg n_reset;led_ctrl led_inst(clk,n_reset,led);initialbeginn_reset=1'b1;20 n_reset=1'b0;60 n_reset=1'b1;endinitialbeginclk=1'b0;forever10 clk=~clk;endendmodulemodule led_ctrl(clk,n_reset,led);input clk;input n_reset;output[7:0]led;reg[7:0]led;reg[5:0]state;reg next_bit;always@(negedge n_reset,posedge clk)if(~n_reset)state;elsestate;always@(negedge n_reset,posedge clk)if(~n_reset)led;elseif(state=6'd24)led;else if(state=6'd32)led;elseled[6:0],next_bit};always@(negedge n_reset,posedge clk)if(~n_reset)next_bit;elseif(state>=6'd0&state)next_bit;else if(state>=6'd8&state)next_bit;else if(state>=6'd16&state)next_bit;else if(state>=6'd24&state)next_bit;else if(state=6'd31)next_bit;else if(state=6'd32)next_bit;endmodule如何用verilog设计一个控制器 case搞定谁那有基于FPGA的ddr控制器verilog的,能否借用一下必有重谢!急 XILINX的通过core Generator 调用MIG核根究您具体的应用配置核的参数就行,生成后源代码和参考设计都有了。verilog灯控制器程序 if else加上begin end结构,一句一行,后面加分号;赋值语句改成非阻塞幅值a-l都定义为寄存器类型reg a,b,c,.;关于以太网控制器MII接口的一段Verilog程序,有不懂的地方,希望大侠们指教啊,谢谢啦 这个和外部phy芯片有关,要去看外部phy芯片的厂家数据手册吧。这个应该是fpga的mac模块,和外部phy相连。自己看看mac模块的Verilog程序 不就知道了,还是说这是fpga的ip核的外部管脚声明,如果是ip核,那具体mac模块怎么描述的都是加密的你是看不到的。

#reset#input

随机阅读

qrcode
访问手机版