ZKX's LAB

EDA数字钟设计 eda简易数字时钟实验报告

2021-03-11知识20

怎样做一份EDA设计报告?

EDA数字钟设计 eda简易数字时钟实验报告

我也是学电子的.能把你的EDA课程设计给我发一份吗? 我不是学姐,答案我就不帮你做了,给你一些提示,希望你能独立完成.1:先选对计数器,根据需要选择4位,8位,32位(如果没有32位的计数器可以用2个16位的计数器级联起来,第一级的计数器的高位输出驱动第二级的计数器始终)2:10进制,12进制,60进制的计数器怎么做?你需要一个比较器,比较器输入端比较counter的值和一个preset value,如果两个值相等,则输出一,否则输出0,用这个比较信号来控制counter的复位信号,注意有些复位是低电平有效3:有了上面的这些计数器以后怎么做时钟?用级联的方式把上面这些计数器串联起来,也就是说用function generator 产生一个10Hz的频率分秒的比较器输出当作秒的时钟输入(enable也可以),同样的道理,秒的计数器的比较器出入做分的计数器的十种输入.

EDA数字钟设计 1.Topclock(元件例化 顶层文件)Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_arith.all;Use ieee.std_logic_unsigned.all;Entity topclock isPort(clk,clr,en,m1,h1:in std_logic;alarm:out std_logic;secs,secg,mins,ming,hours,hourg:buffer std_logic_vector(3 downto 0));End;2.秒模块程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity SECOND isport(clk,clr:in std_logic;sec1,sec0:out std_logic_vector(3 downto 0);co:out std_logic);end SECOND;architecture SEC of SECOND isbeginprocess(clk,clr)variable cnt1,cnt0:std_logic_vector(3 downto 0);beginif clr='1' thencnt1:=\"0000;cnt0:=\"0000;elsif clk'event and clk='1' thenif cnt1=\"0101\"and cnt0=\"1000\"thenco;cnt0:=\"1001;elsif cnt0cnt0:=cnt0+1;elsecnt0:=\"0000;if cnt1cnt1:=cnt1+1;elsecnt1:=\"0000;co;end if;end if;end if;sec1;sec0;end process;end SEC;3.分模块程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MINUTE isport(clk,en:in std_logic;min1,min0:out std_。

EDA设计数字时钟

EDA 数字电子时钟的设计

怎么把eda数字电路试验箱时钟设为1024hz library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MINSECONDb isport(clk,clrm,stop:in std_logic;时钟/清零信号secm1,secm0:out std_logic_vector(3 downto 0);秒高位/低位co:out std_logic);输出/进位信号end MINSECONDb;

求eda数字钟设计程序 1.Topclock(元件例化 顶层文件)Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_arith.all;Use ieee.std_logic_unsigned.all;Entity topclock isPort(clk,clr,en,m1,h1:in std_logic;alarm:out std_logic;secs,secg,mins,ming,hours,hourg:buffer std_logic_vector(3 downto 0));End;2.秒模块程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity SECOND isport(clk,clr:in std_logic;sec1,sec0:out std_logic_vector(3 downto 0);co:out std_logic);end SECOND;architecture SEC of SECOND isbeginprocess(clk,clr)variable cnt1,cnt0:std_logic_vector(3 downto 0);beginif clr='1' thencnt1:=\"0000;cnt0:=\"0000;elsif clk'event and clk='1' thenif cnt1=\"0101\"and cnt0=\"1000\"thencoa);u2:min1 port map(clr=>;clr,alarm=>;alarm,mins=>;mins,ming=>;ming,clkm=>;b,enmin=>;c);u3:hour1 port map(clr=>;clr,hours=>;hours,hourg=>;hourg,clkh=>;d);u4:madapt port map(en=>;en,m1=>;m1,clk=>;clk,secin=>;a,minset=>;b);u5:hadapt port map(en=>;en,h1=>;h1,clk=>;clk,minin=>;c,hourset=>;d);end;

EDA数字式时钟设计 没邮箱啊?补充:发了,希望帮到你

EDA数字时钟设计实验思考题:本次设计程序占用EPF10K10LC84-4芯片的多少资源 未解决问题 等待您来回答 奇虎360旗下最大互动问答社区

#eda电子时钟#eda简易数字时钟实验报告

随机阅读

qrcode
访问手机版