ZKX's LAB

EDA课程设计——数字电子钟 eda课程数字时钟设计报告

2021-03-06知识11

EDA 数字电子时钟的设计 最低0.27元开通文库会员,查看完整内容>;原发布者:wjh312747160电子技术课程设计数字电子时钟的设计摘要:设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电e799bee5baa6e997aee7ad94e4b893e5b19e31333433623736路模块、时钟脉冲模块、整电报时模块、校时模块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。1、设计的任务与要求电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际。

EDA课程设计——数字电子钟 设计一个电子时钟,要求可以显示时、分、秒,用户可以设置时间。 扩展功能:秒 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity code isport(clk:in std_logic;电路工作时的时钟信号clk1:in std_logic;闹铃产生需要的时钟信号k:in std_logic;高电平表示输入1led:out std_logic;输入正确时亮led1:out std_logic;输入错误时亮reset:in std_logic;按下时复位want:in std_logic;是否修改密码alarm:out std_logic;输出闹铃声show:out std_logic_vector(3 downto 0));提示作用end;architecture a of code issignal temp:std_logic_vector(3 downto 0);输入一位加1signal code:std_logic_vector(7 downto 0);储存密码signal getcode:std_logic_vector(7 downto 0);储存修改后的密码signal counter:std_logic_vector(3 downto 0);计数signal allow:std_logic;是否允许修改密码signal ring:std_logic;是否接通闹铃beginprocess(clk)beginif ring='1' thenalarm;闹铃接通elsealarm;闹铃截至end if;if reset='1' then-按下reset后,密码归为初始密码getcode;初始密码counter;内部计数code;led;led1;allow;elsif clk'event and clk='1' then-输入clk脉冲,则接收1位密码getcode(6 downto。

fpga数字钟课程设计报告 去文库,查看完整内容>;内容来自用户:wyd932课程设计报告设计题目:基于FPGA的数字钟设计班级:电子信息工程1301学号:20133638姓名:王一丁32313133353236313431303231363533e78988e69d8331333433646431指导教师:李世平设计时间:2016年1月摘要EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。关键词:EDA VHDL语言数字钟目录摘要1课程设计目的2课程设计内容及要求2.1设计任务2.2设计要求3VHDL程序设计3.1方案论证3.2系统结构框图3.3设计思路与方法3.3.1状态控制模块3.3.2时分秒模块3.3.3年月日模块3.3.4显示。

EDA课程设计——数字电子钟 eda课程数字时钟设计报告

我也是学电子的.能把你的EDA课程设计给我发一份吗? 我不是学姐,答案我就不帮你做了,给你一些提示,希望你能独立完成.1:先选对计数器,根据需要选择4位,8位,32位(如果没有32位的计数器可以用2个16位的计数器级联起来,第一级的计数器的高位输出驱动第二级的计数器始终)2:10进制,12进制,60进制的计数器怎么做?你需要一个比较器,比较器输入端比较counter的值和一个preset value,如果两个值相等,则输出一,否则输出0,用这个比较信号来控制counter的复位信号,注意有些复位是低电平有效3:有了上面的这些计数器以后怎么做时钟?用级联的方式把上面这些计数器串联起来,也就是说用function generator 产生一个10Hz的频率分秒的比较器输出当作秒的时钟输入(enable也可以),同样的道理,秒的计数器的比较器出入做分的计数器的十种输入.

#eda课程数字时钟设计报告#eda电子时钟

随机阅读

qrcode
访问手机版