ZKX's LAB

脉冲波形发生器的设计 脉冲程序发生器任意波形发生器

2020-12-02知识4

怎么制作一个任意波形发生器(程序和电路图)。 MAXIM公司生产的MAX038芯片是一种高频精密的函数发生器,可产生三角波、锯齿波、正弦波、方波及脉冲波,且频率及占空比的控制可独立进行。用dac0832,那就让0832按照输出波形的要求,不停的数-模转换就可以了。

脉冲波形发生器的设计 脉冲程序发生器任意波形发生器

用单片机做波形发生器,产生正弦波等非脉冲波 我不知道你说的是不是输出模型时,需要建立一个庞大的数组,挨个送给DA芯片的方式发送的。如果是可以用较为简单的数学公式可以描述的波形,可以不用数组的方法。比如半三角波,y=ax;i=1;i+;y就可以是DA的值了。也就是你只要能知道公式这事儿就好办,当然需要复杂运算的公式,建议数组,原因在于运算时,产生运算时间,运算时间有长有短,你会发现输出的波形被拉长或者两个值之间有间隔,所以大家喜欢用数组的方式描述,以查表的方法完成这件事情。但对于复杂的又不行用数组描述的可以用少部分用数组描述的方式。如y=x4次方+bx+c,你可以只将x4变为数组,每次运算时,调用进去就可以了。不知道你是不是需要自己输入设定值,产生规定的正弦波,比如 y=a*sin(wx+Q),用户自己输入数就能得到想要的波形。其实也比较简单。先有个sin的标准数组,读一个标准的sina数乘a。而w则就是标准数组中需要选择的伸缩,数学角度2π对应255,那么w对应?则可得出?255就是你的伸缩,用的时候就是i=?255;i+;图像就伸缩了。而Q就是个延迟,延迟多少?Q=2π时延迟255位,你也就可以推算该延迟多少为了。也可以写个空数组。写个算式,算一位,往数组存一位,存完了再输出,这是最好的方法。

脉冲波形发生器的设计 脉冲程序发生器任意波形发生器

急!!基于单片机设计一个任意波形发生器要求能产生任意波、频率,幅值可设定并有显示、方波占空比可调 原理图+程序最好有文档我邮箱357440409@qq.com 只要你了解PWM产生机理,原理图较简单,你可在文库搜索一下,原程序最好自己学会。只能提供以下几点建议:1、可采用。

脉冲波形发生器的设计 脉冲程序发生器任意波形发生器

脉冲波形发生器的设计

脉冲波形发生器的设计 根据要求设计,细谈 基于EPP工作模式下的任意波形发生器的设计 摘要:本文介绍一种基于微机打印口EPP工作模式下的任意波形发生器。它采用复杂可编程逻辑件、高速D/A转换和。

随机阅读

qrcode
访问手机版