ZKX's LAB

急求EDA数字钟设计程序,我有原理图 eda 4.2 数字时钟设计

2020-07-22知识7

eda中数字钟设计与制作,要把一个4MHZ的频率分成1khz ,2khz,1hz,不编程设计,电路图是啥样的啊? 给你一个1Hz频率电路图,可用作数字钟的,供你参考。EDA设计数字时钟设计一个含有时/分/秒的时钟设计要求:1.具有\\'秒\\',\\'分\\',\\'时\\'时钟显示功能,在6个LED上显示小时按24小时制计时.2.具有校准功能下面程序是没有控制系统在,而且有错误在,library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lb isport (clk :in std_logic;y0 :out std_logic_vector (7 downto 0);ds :out std_logic_vector (4 downto 0));end ;architecture fly of lb issignal d :integer range 0 to 5 ; begin process (clk)variable a :integer range 0 to 4000;begin if clk\\'event and clk =\\'1\\' thenif a 2.微秒模块采用VHDL语言输入方式,以时钟clk,清零信号clr以及暂停信号STOP为进程敏感变量,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_。急求EDA数字钟设计程序,我有原理图 功 能:数码管显示的电子钟ORG 0000HAJMP STARTORG 000BHAJMP TIMEORG 0100HSTART:MOV 30H,#00H;半秒标志MOV 31H,#00H;状态标志,0FFH表示设置状态MOV 32H,#00H;闪烁显示控制,位为0对应的数码管在调时状态下时闪烁MOV 20H,#00H;1/20秒计数MOV 21H,#00H;秒计数MOV 22H,#00H;分计数MOV 23H,#00H;时计数MOV IP,#02H;IP,IE初始化MOV IE,#82HMOV TMOD,#01H;设定定时器工作方式MOV TL0,#0B0H;定时器初值MOV TH0,#3CHSETB TR0;启动定时器0MOV SP,#40H;重设堆栈指针MAIN:LCALL DISP;调用显示子程序LCALL KEYPR;调用按键处理子程序SJMP MAIN;循环定时器0中断处理程序TIME:PUSH ACC;保护现场PUSH PSWMOV TL0,#0B4H;赋定时初值MOV TH0,#03CHINC 20H;1/20秒计数器加1MOV A,20HCJNE A,#10,IRET;未到半秒,MOV 20H,#00HMOV A,30H;修改半秒标志CPL AMOV 30H,AJZ IRETMOV A,31H;状态标志,为0FFH停止计时JNZ IRETMOV 20H,#00H;一秒钟时间到MOV A,21H;秒加1INC AMOV 21H,ACJNE A,#60,IRETMOV 21H,#00H;一分钟时间到MOV A,22H;分加1INC AMOV 22H,ACJNE A,#60,IRETMOV 22H,#00H;一小时时间到MOV A,23H;小时加1INC AMOV 23H,ACJNE 。EDA设计数字时钟 2.微秒模块采用VHDL语言输入方式,以时钟clk,清零信号clr以及暂停信号STOP为进程敏感变量,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MINSECONDb isport(clk,clrm,stop:in std_logic;时钟/清零信号secm1,secm0:out std_logic_vector(3 downto 0);秒高位/低位co:out std_logic);输出/进位信号end MINSECONDb;architecture SEC of MINSECONDb issignal clk1,DOUT2:std_logic;beginprocess(clk,clrm)variable cnt1,cnt0:std_logic_vector(3 downto 0);计数VARIABLE COUNT2:INTEGER RANGE 0 TO 10;beginIF CLK'EVENT AND CLK='1'THENIF COUNT2>;=0 AND COUNT2COUNT2:=COUNT2+1;ELSE COUNT2:=0;DOUT2;END IF;END IF;if clrm='1' then-当clr为1时,高低位均为0cnt1:=\"0000;cnt0:=\"0000;elsif clk'event and clk='1' thenif stop='1' thencnt0:=cnt0;cnt1:=cnt1;end if;if cnt1=\"1001\"and cnt0=\"1000\"then-当记数为98(实际是经过59个记时脉冲)co;进位cnt0:=\"1001;低位为9elsif cnt0小于9时cnt0:=cnt0+1;计数elsif cnt0=\"1001\"thenclk1;elsecnt0:=\"0000;if cnt1高位小于9时cnt1:=cnt1+1;else。急求EDA 基于Quartus2设计数字钟的各个模块详细程序!原理图 对不起,我不知道,你问别人吧…

#电路图#数字钟#eda#原理图#时钟信号

随机阅读

qrcode
访问手机版