ZKX's LAB

dds 频率控制字 DDS的原理

2020-07-21知识11

DDS的原理 什么叫DDS直接数字式频率合成器DDS(Direct Digital Synthesizer),实际上是一种分频器:通过编程频率控制字来分频系统时钟(SYSTEM CLOCK)以产生所需要的频率。DDS 有两。用fpga做dds,相位累加器容易做,请问下频率控制字如何生成? 用51的串口发,然后在FPGA里面做一个串行数据转并行的function,当做控制字就行了。之前我们做过DDS的,用的是外部单片机,是这么做的。然后注意频率就是了最低0.27元开通文库会员,查看完整内容>;原发布者:风萧萧wt2基本原理2.1直接数字频率合成器直接数字合成(DirectDigitalSynthesis,简称DDS)技术是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位,通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。2.1.1DDS工作原理下面,通过从相位出发的正弦函数e69da5e6ba907a6431333433623763产生描述DDS的概念。图1表示了半径R为1的单位圆,半径R绕圆心旋转与X轴的正方向形成夹角θ(t),即相位角。图1单位圆表示正弦函数S=Rsinθ(t)DDS的原理框图如图2所示。图中相位累加器可在每一个时钟周期来临时将频率控制字(FTW)所决定的相位增量M累加一次,如果记数大于2,则自动溢出,而只保留后面的N位数字于累加器中。图2DDS原理框图DDS的数学模型可归结为:在每一个时钟周期T内,频率控制字M与N比特相位累加器累加一次,并同时对2取模运算,得到的和(以N位二进制数表示)作为相位值,以二进制代码的形式去查询正弦函数表ROM,将相位信息转变成相应的数字量化正弦幅度值,ROM输出的数字正弦波序列再经数模转换器转变为阶梯模拟信号,最后通过低通滤波器平滑后得到一个纯净的正弦模拟信号。由于ROM表的规模有限。

#dds#加法器#累加器

随机阅读

qrcode
访问手机版