ZKX's LAB

数电寄存器实验原理 怎样用74161设计一个同步十进制计数器电路

2020-10-13知识4

移位寄存器 实验报告 最低0.27元开通文库会员,查看完整内容>;原发布者:zip0012实验四2113:移位寄存器和计数器的设计实5261验室:实验台号:日期:专4102业班级:姓名:学号:一、实验1653目的1.了解二进制加法计数器的工作过程。2.掌握任意进制计数器的设计方法。二、实验内容(一)用D触发器设计左移移位寄存器(二)利用74LS161和74LS00设计实现任意进制的计数器设计要求:以实验台号的个位数作为所设计的任意进制计数器(0、1、2任选)。三、实验原理图1.由4个D触发器改成的4位异步二进制加法计数器(输入二进制:11110000)2.测试74LS161的功能3.熟悉用74LS161设计十进制计数器的方法。1利用置位端实现十进制计数器。2利用复位端实现十进制计数器。四、实验结果及数据处理1.左移寄存器实验数据记录表要求:输入二进制:111100002.画出你所设计的任意进制计数器的线路图(计数器从零开始计数),并简述设计思路。8进制利用复位法实现8进制计数器,8=1000B,将A端同与非门相连,当A端=1时,使复位端获得信号,复位,从而实现8进制。五、思考题1.74LS161是同步还是异步,加法还是减法计数器?答:在上图电路中74LS161是异步加法计数器。2.设计十进制计数器时将如何去掉后6个计数状态的?答:通过。

数电寄存器实验原理 怎样用74161设计一个同步十进制计数器电路

我是一名高中生,想自学电子电路,了解各种电子元件的原理和看懂电路图,怎么入门,有什么推荐的书吗 电子科大出版的《电路分析》,童诗白的《模拟电子技术基础》这两本就够了,当然,学电子电路少不了数字电路,阎石的《数字电子技术基础》,这三本都是经典教材。电路基础,主要是用来计算和理解电路的,模拟电路中放大电路用的多,数电就是各种逻辑门电路、寄存器等等。进阶版的等到大学就可以学了,画PCB板,模拟电路用的多,稍大型一点的电路数字电路用的多,再进阶就要学FPGA设计集成电路了,这时候开始就要开始学verilog编程了,很简单,基本都是数字电路,最后进阶就是超大规模集成电路,ASCI设计,这时候又要学VHDL编程了,部分情况Verilog也能用,人类顶尖科技之一。

数电寄存器实验原理 怎样用74161设计一个同步十进制计数器电路

原发布者:悲神之悲《计算机组成原理》实验报告实验一:运算器实验学院:专业:班级学号:学生姓名:实验日期:指导老师:成绩评定:计算机学院计算机组成原理实验室实验一一、实验名称:运算器实验二、实验目的:1、掌握运算器的组成及工作原理;2、熟悉ALU执行算术运算与逻辑运算的具体实现过程;3、掌握ALU算术与逻辑运算的控制方法三、实验内容:1、两8位操作数的算术运算及进位影响2、两8位操作数的逻辑运算及进位影响3、不同控制组合下的算术与逻辑运算的输出结果四、实验设备:EL-JY-II型计算机原理实验系统五、实验步骤:1、在系统断电的情况下,按实验指导书接线图完成本次实验的接线;2、系统上电,拨动清零开关,系统清零;3、从数据输入开关电路输入第一个8位数据,开放数据总线,使数据进入暂存寄存器A;4、从数据输入开关电路输入第二个8位数据,开放数据总线,使数据进入暂存寄存器B;5、关闭数据输入开关,开启ALU输出,检查两个8位数据正确与否,有错通过步骤3改正;6、拨动功能选择端S3,S2,S1,S0进行算术运算,记录ALU输出结果;7、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成;8、对实验结果进行检查,如有错误,找

数电寄存器实验原理 怎样用74161设计一个同步十进制计数器电路

随机阅读

qrcode
访问手机版