ZKX's LAB

8位串并转换电路verilog

2020-07-16知识19
高手来看看这个verilog串并转换状态机程序的时序仿真 感觉没什么问题 我测试了下 输入串码1111 101 你可以用我的tb跑跑看 module test_test();reg sys_clk;reg sys_rst;reg data_input_1;wire[2:0]xlat_address_port_1;always#5 sys_clk=~sys_clk;initial begin sys_clk=1'b0;sys_rst=1'b0;data_input_1=1'b0;10 sys_rst=1'b1;5;10 data_input_1=1'b1;10 data_input_1=1'b1;10 data_input_1=1'b1;10 data_input_1=1'b1;10 data_input_1=1'b1;10 data_input_1=1'b0;10 data_input_1=1'b1;10 data_input_1=1'b0;end serial_in serial_in sys_clk(sys_clk), sys_rst(sys_rst), data_input_1(data_input_1), xlat_address_port_1(xlat_address_port_1) endmodule verilog并串转换和串并转换问题 always@(posedge pclk,posedge reset) begin if(reset)begin p;end else begin p;end end always@(posedge sclk,posedge reset) begin if(reset)ser_d;else begin if(x!7)begin {p,ser_d},p};end else ser_d[0];end end 这里编译器没报错吗?p有两种驱动还有隔离不同时钟域最好要用fifo的,我就在你的基础上改了 `timescale 1ns/1ns module p2s2p(reset,pclk,sclk,din,dout);input reset,pclk,sclk;input[7:0]din;output reg[7:0]dout;reg ser_d;reg[7:0]d,p,q;reg[2:0]x;reg s;always@(posedge sclk,posedge reset) begin if(reset)begin p;end else if(x=1)begin p;end else begin p,p[7:1]};end end always@(posedge sclk,posedge reset) begin if(reset)ser_d;else begin ser_d[0];end end always@(posedge sclk,posedge reset) begin if(reset)x;else begin x;end end always@(posedge sclk,posedge reset) begin if(reset)s;else begin if(x=2)s;else s;end end always@(posedge sclk,posedge reset) begin if(reset)d;else begin d,d[7:1]};end end always@(posedge sclk,posedge reset) begin if(reset)q;else begin if(s)q;end end always@(posedge pclk,... verilog并串转换和串并转换问题 always@(posedge pclk,posedge reset) begin if(reset)begin p;end else begin p;end end always@(posedge sclk,posedge reset) begin if(reset)ser_d;else begin if(x!7)begin {p,ser_d},p};end else ser_d[0];end end 这里编译器没报错吗?p有两种驱动还有隔离不同时钟域最好要用fifo的,我就在你的基础上改了 `timescale 1ns/1ns module p2s2p(reset,pclk,sclk,din,dout);input reset,pclk,sclk;input[7:0]din;output reg[7:0]dout;reg ser_d;reg[7:0]d,p,q;reg[2:0]x;reg s;always@(posedge sclk,posedge reset) begin if(reset)begin p;end else if(x=1)begin p;end else begin p,p[7:1]};end end always@(posedge sclk,posedge reset) begin if(reset)ser_d;else begin ser_d[0];end end always@(posedge sclk,posedge reset) begin if(reset)x;else begin x;end end always@(posedge sclk,posedge reset) begin if(reset)s;else begin if(x=2)s;else s;end end always@(posedge sclk,posedge reset) begin if(reset)d;else begin d,d[7:1]};end end always@(posedge sclk,posedge reset) begin if(reset)q;else begin if(s)q;end end always@(posedge pclk,... 进制转换电路设计VERILOG 你可以直接使用case 如何把Verilog II语言转换成电路图 这个我也没学好 不大记得 估计是file->creat update->creat symbol file for current file 然后会在project这个目录下产生你要的元件 错了 别笑哦 哪位大神告诉一个,很简单的Verilog串并转换,UART接收器 首先若这个数据是按照clk的时钟一位位串行进入,那么你就可以在clk的上升沿触发,将每次进的一位数据存入一个长10bit的寄存器(假设是data_buff),而且这个寄存器没个始终上升沿左移一位,这样每次进的数据都存在data_buff[0],而且每次都记一次数,当计数十次后就是串行数据都进来后,读取data_buff[8:1]就行了,并行出去看你要咋出了,是像数码管那种还是啥? 如何才能看到verilog,就知道综合出来的电路呢? 就是一看到verilog 就知道被综合成什么电路,通过什么方法训练多久能达到这样的水平?或者至少一些基本的… 关于verilog实现的串并转换功能 串并转换很简单,就是移位寄存器,后面最好跟一个锁存器,实现你所要求的功能需要四位移位寄存器和四位锁存器,锁存器的作用就是保持并行数据在移位时不发生变化: module shift(nreset,clk,en,in,out);input nreset,clk,en,in;output[3:0]out;reg[1:0]count;移位计数,控制并行数据更新,这里是4bit并行数据 reg[3:0]data;reg[3:0]out;移位计数,用于并行数据输出,也可以外加一个脉冲控制数据边界,这里移位4bit就并行输出一次*/ always@(posedge clk or negedge nreset) begin if(~nreset) count;else if(en) count;end 移位 always@(posedge clk or negedge nreset) begin if(~nreset) data;eale if(en) data[2:0],in};end 并行输出 always@(posedge clk or negedge nreset) begin if(~nreset) out;else if(en&(count=2'b11)) out;end endmodule 我编译、仿真过了,没有问题,你原有的out,in}应该写成像这样data[2:0],in};这就是一个移位寄存器! 关于verilog实现的串并转换功能 串并转换很简单,就是移位寄存器,后面最好跟一个锁存器,实现你所要求的功能需要四位移位寄存器和四位锁存器,锁存器的作用就是保持并行数据在移位时不发生变化: module shift(nreset,clk,en,in,out);input nreset,clk,en,in;output[3:0]out;reg[1:0]count;移位计数,控制并行数据更新,这里是4bit并行数据 reg[3:0]data;reg[3:0]out;移位计数,用于并行数据输出,也可以外加一个脉冲控制数据边界,这里移位4bit就并行输出一次*/ always@(posedge clk or negedge nreset) begin if(~nreset) count;else if(en) count;end 移位 always@(posedge clk or negedge nreset) begin if(~nreset) data;eale if(en) data[2:0],in};end 并行输出 always@(posedge clk or negedge nreset) begin if(~nreset) out;else if(en&(count=2'b11)) out;end endmodule 我编译、仿真过了,没有问题,你原有的out,in}应该写成像这样data[2:0],in};这就是一个移位寄存器! 用verilog编写串并转换器的程序,要有详细注识释 reg[7:0]data;reg[2:0]cnt;always@(posedge clk or posedge rst) if(rst)/复位高有效 reg;else reg[7:0],din};din是输入串行数据,假设输入数据高位在前这是一个移位寄存器 always@(posedge clk or posedge rst) if(rst) cnt;else if(din_valid)/输入串行时能有效 if(cnt=7) cnt;else cnt;计数器,用来计算移位次数,移位8次在以后产生一个有效数据 else cnt;always@(posedge clk or posedge rst) if(rst) dout;dout_en;else if(cnt=7) dout;如果计数器记到7,那么输出一个有效的8位数据 dout_en;else dout;dout_en;

#reset

随机阅读

qrcode
访问手机版