ZKX's LAB

eda实现32位奇偶校验电路功能 用verilog语言设计一个7位奇偶校验电路(case语句)、一个二位全减器、一个BCD-7段LED译码器?

2020-07-20知识30

什么是奇偶校验电路 奇偶校验电路是一种校验代码传输正确性的电路。奇校验电路,当输入有奇数个1时,输出为1;偶校验电路当输入有偶数个1时,输出为0。奇偶校验只能检查一位错误,且没有纠错的能力。奇校验是通过增加一位校验位的逻辑取值,在源端将原数据代码中为1的位数形成奇数,然后在宿端使用该代码时,连同校验位一起检查为1的位数是否是奇数,做出进一步操作的决定。奇偶校验器多设计成九位二进制数,以适应一个字节,一个ASCII代码的应用要求。奇偶校验是一种冗余编码校验,在存储器中是按存储单元为单位进行的,是依靠硬件实现的,因而适时性强,但这种校验方法只能发现奇数个错,如果数据发生偶数位个错,由于不影响码子的奇偶性质,因而不能发现。对于位数较少,电路较简单的应用,可以采用奇偶校验的方法提高系统的可靠性。设计一个三位的奇偶校验器,即三位数中有奇数个1时,输出为0,否则输出为1 真值表—逻辑式—逻辑式化简—电路图1、真值表2、Y=A'B'C'+A'BC+AB'C+ABC'(A'即表示A非)3、电路图或试用二输入与非门和反相器设计一个4位的奇偶校验器,当输入变量中有偶数个1是输出1,否则为0. y=((a'((b'((c'd')')')'(b((c'd)'(cd')')')')')'(a((b'((c'd)'(cd')')')'(b((c'd')'(cd)')')')')')'一共是八个最小项,不能化简.a'表示a非关于奇偶校验码 奇偶校验码是一种通过增加冗余位使得码字中\"1\"的个数恒为奇数或偶数的编码方法,它是一种检错码。在实际使用时又可分为垂直奇偶校验、水平奇偶校验和水平垂直奇偶校验等几种。设计一个奇偶校验电路,要求当输入的四个变量中有偶数个1时,输出为1,否则为0。 设计一个奇偶校验电路。四个输入变量,有16个组合状态,所以用两片8选1数据选择器74LS151来做,比较容易。真值有如下画出卡诺图如下从卡诺图可见,函数不能化简单了。要用门电路做,有7个与项,需要7个门电路,很麻烦的。所以,用8选1数据选择器74LS151来做,就比较容易啦。逻辑图如下:

随机阅读

qrcode
访问手机版